Space Microelectronics: A Deep Dive with Anatoly Belous, Vitali Saladukha, and Siarhei Shvedau

The Space Microelectronics book set is a comprehensive focuses on the study of modern spacecraft, including their classification, packaging and protection, design versions, launch failure and accident analysis, and the main requirements of electronic components used as well as details about the integrated circuit design for space applications. Read on for a thorough introduction to the books, and what readers can expect to derive from these volumes:

The book is dedicated to analysis of the current status, problems and development prospects of the microelectronic element base of the radio-electronic devices of the rocket-space equipment, space vehicles and systems of dual and military applications. It is a pioneering attempt in the world scientific-technical literature to review within the framework of a single book the entire sophisticated sequence of the interrelated creation stages of the electronic blocks of the rocket-space equipment – from development of the requirements to these blocks and the element-component base to selection of the technological basis of its realization, the IC design methods and on their basis – the on-board equipment control systems for the space and special applications.

The authors of this publication participated in person in realization of the numerous Soviet and Russian space projects. The authors present in a number of chapters, covering the IC design methodology, methods for protection from radiation, electromagnetic irradiations, high energy particles (space dust), as well as the methods for ICs reliability enhancement for space applications.

The publication is meant for the design engineers of the radio-electronic equipment, as well as for the professorial staff, students, postgraduates, specializing in the sphere of microelectronics and its applications.

A Foreword to the English Edition

The book, being offered for the reader’s attention, was published in Moscow and appeared in the bookshops in Russia at the beginning of 2015. The book’s structure, contents of the chapters and the presentation format of the material in the Russian edition was conceived by the authors on the basis of the “principle of two experiences”.

First, of the experience, amassed during the scientific researches and the results of the multiyear deliverance by the authors of lecture courses with the practical classes for the specialists of the space engineering, students, professorial staff of the major technical higher educational institutions in Russia and Belarus, institutes and research laboratories in China, India, Germany, Israel, as well as for the technical managers of the laboratories, institutes and enterprises of the state corporation “Roskosmos” – the Russian analogue of the American NASA, involved in the researches, design development and fabrication of the space vehicles and integrated circuits for the space rocket industry.

Second, – from their own extensive experience of the practical activities in the semiconductor branch – all the authors possess over a 30-40 year experience of guiding the large teams of designers and production engineers of the microelectronic devices of the space application, they took an active part in person in realization of practically all Russian space programs, sharing with the designers of the rockets and satellites both the bitterness of misfortunes and the thrill of conquests in the struggle for space exploration. Besides the original, never published earlier authors’ materials, the book lists the references to 1252 sources, from which 623 – of the Russian publications (including 150 authors’ publications), 548 – of the English sources and 81 links to the Internet sources.

The ensued after emergence of the book in market reaction of the readers justified the correctness of such an approach, which partially relieved off the authors their wives’ accusations in resetting priorities for three years in the process of upbringing their children and grandchildren – all this “free” time the authors were in work at the writing-table. In the first place, by the results of 2015 the book was granted in the category of the technical publications the honorary status of the “leader in sales” in the bookshops of the major Russian cities – Moscow, Saint-Petersburg and Novosibirsk. In the second place, unexpectedly wide was the spectrum of professions of the readers’ audience – alongside with the specialists in the sphere of the space electronics, for whom it was specifically targeted and the designers of the military electronics, which was anticipated, it evoked an interest of the specialists in high efficiency computing devices, in power saving technologies, of the designers of drones, navigation devices, automotive electronics and many others. The major technical universities in Russia, with any relations to studies of radio-electronics and its applications, purchased lots of this book for their libraries and recommended to the professors to resort to it in the educational process on the wide spectrum of specialties, related to designing the microelectronic devices and spacecraft, top managers of the major enterprises of the space rocket branch recommended to study and use the materials of the book in the routine activities with regard to their managers and design engineers.

However, the authors «rested on their laurels» and «basked in the rays of glory» until they were approached by House Artech House with the proposal to print the English language version of the book, which the authors favorably accepted. And further followed a strenuous work with the reviewers of the Publishing House, who were quite deft to «bring the authors from the clouds to the Earth». No, the reviewers never said that the book was not sound or its content was unsubstantiated. But they had a great deal of various questions, critical remarks, specific suggestions and advice as to how to make the presentation quality of the material seamless, to apply a more system-oriented and consequential – from the simple to complex – restructuring.

It has to be admitted, that the absolute majority of their proposals in the final run was acknowledged by the authors.

As a result – instead of two books of the Russian language version, this book is now represented in the form of three volumes, each of them dedicated to the stand alone major aspects of the space microelectronics.

The first volume is dedicated to the study of the contemporary space vehicles: classification, design versions, causes and effects of failures and breakdowns, main requirements to the electronic components.

The second volume is dedicated to the most relevant practical aspects of designing the integrated circuits for the space applications.

The third volume for the first time dwells in detail on the problems due to influence on the space vehicles of the high velocity flows of microparticles (or the so called by the specialists – «space dust») – mechanisms of their influence on the equipment and integrated circuits and the methods of protection.

The preface to the Russian language edition is rather a description of the prerequisites and the history of the book’s creation, than a synopsis of the presented encyclopedic material of the book. Therefore the authors deem it expedient to write for the English language edition this more correct foreword.

Despite the design development of the contemporary integrated circuits and semiconductor devices is not a simple matter as such, but to the designs of the devices and the electronic control systems of the space and military electronics (rockets and satellites) are posed the special requirements, determined by the special operational conditions of these devices and the special conditions of their manufacture.

Appropriately, the qualification level of these designers should be by order higher, than that of the designers of the «commercial» electronics.

In simple wording, if a designer committed a «hidden error» in the design development of an integrated circuit for the devices of the household appliances (a TV-set, phone or microwave oven), in the worst case when it is revealed (the failure of the integrated circuit) in process of operation it will be necessary to replace either IC or a household appliance itself. But an absolutely different situation unfolds, if this «hidden error» manifests itself during operation of a space vehicle at any stage of its functioning – at the start, in the orbit or at the time of performing a mission on the surface of another planet, when repair is out of the question.

Sometimes the technical, ecological and economic consequences of such failures, for instance, in the strategic complexes of the missile systems are even hard to imagine, but in any case they may bear the catastrophic nature, let alone the impact on the prestige of the space nation.

Therefore the basic part of the book’s material is dedicated to the peculiarities of designing the so called «element base» of the control systems of the rockets and satellites – integrated circuits and semiconductor devices, to the methods of ensuring their protection from the specific factors of the outer space – first of all, of radiation, electromagnetic interferences, high velocity particles (space dust), extreme temperatures and mechanical overloads, to the peculiarities of selecting the appropriate protective and absorbing materials, the techniques of the required additional and special tests, and also the design peculiarities of the on-board control systems proper.

Meanwhile, one important aspect is worthwhile noting – as many of the issues, included into the present books, were not earlier considered in the popular standard training courses, the design engineers often regard the effects, revealed in the «space integrated circuits» in process of operation on board of a space vehicle, as something mythical and even call them the «black magic effects», the authors attempted to stipulate here some sort of fundamentals of the «white magic», dispelling the popular myth, that in the Great Space something inexplicable and unusual occurs with the integrated circuits – one has to be knowledgeable of the laws of physics as the basis of these effects and to apply them correctly on the Earth, in the process of designing both the integrated circuits as such and the printed circuit boards and the electronic units of the on-board systems. The appropriate chapters explain both the action mechanisms of these laws of physics and their application rules in practice.

Introduction

The uniqueness of book’s subject is space and special-purpose (extreme) microelectronics, element base of modern rocket-and-space technology (RST) as well as weapon systems and military equipment.

It is the first attempt in domestic scientific and technical literature to examine all the interrelated stages of development of RST electronic units – starting from development of the requirements for units and their electronic component base to the choice of technology basis for its implementation, methods of designing microcircuits and on-board control equipment of space and special application based on these microcircuits.

The structure and order of the book is aimed at making complicated material easily comprehensible but not at the expense of its quality. Readers are presented with small but sufficient amount of information in order to understand the subject – from configuration and classification of spacecrafts and their on-board systems, the results of statistical analysis of accidents and failures to peculiarities of choice and application of foreign-made ECB. The major part of the book dwells on aspects of designing microcircuits for space application – from the choice of production technology to methods of their design with regard to deep submicron features.

In order to achieve this aim, the material is presented in the form of 3 volumes  and 19 chapters.

Space Microelectronics Volume 1: Modern Spacecraft Classification, Failure, and Electrical Component Requirements

Chapter 1 briefly presents the main fields and trends of space equipment and technology development, provides classification of spacecrafts, describes their design, principle structure and functioning of their main electronic on-board control systems, since the requirements for functionality and performance of such systems predetermine requirements for their ECB. Earth remote sensing spacecrafts are examined in more detail, while one of the following chapters dwells on examples of designing special CCD microassemblies for such spacecrafts. The Chapter also briefly considers exposure of SC to the main space factors (radiation, micrometeoroids etc.). The last section examines the new development fields of space instrument engineering, i.e. applications of MEMS technology for construction of microrocket engines (the size of a matchbox) for space application.

Chapter 2 is focused on safety aspects of rocket and space technology, systematization and analysis of the main causes of launch vehicle and SV disasters, accidents and failures. It provides statistical information summarized from open sources on the changes in nature and causes of failures at the main stages of space equipment development, shows the role of ECB reliability in reduction of failure risks, formulates the main requirements for quantitative parameters of SA (space application) ECB reliability and durability. Special methods to ensure reliability of on-board equipment of long-lived SCs are considered separately.

Chapter 3 focuses on “non-silicon” microelectronics – gallium arsenide and gallium nitride. Due to book size constraints, a single chapter provides the main information on properties of such semiconductor materials, presents the main features of high frequency transistors and microcircuits on their basis. The main fields of application of microwave ICs including those for phased array antennas. There’s an overview of domestic enterprises and their achievements in the field of microwave equipment.

Chapter 4 is focused on aspects of choosing microelectronic ECB for rocket and space equipment. It examines standard controller structure of SC on-board electronic control system, provides specific examples of processor and microcontroller application in electronic control systems of foreign-made SCs. It goes into detail of the main technical characteristics and functional featuresof renowned domestic microcontrollers of dual- and special purpose, as well as important practical features of designing and organization of production of microcircuits for space application. In the discussion of the latter issue special consideration was given to fabless model, according to which a microcircuit is developed at a domestic design center to meet the requirements of a certain customer – developer of REE of space or special application and then manufactured at one of the foreign microelectronic factories.  One of the Chapter’s sections is focused on peculiarities of choosing a specific design and technology basis (technology type, minimum deep submicron design rules), which are important for design engineers in developing microcircuits of space application. Electric power supply systems of modern spacecrafts largely determine their ultimate performance characteristics; therefore the Chapter thoroughly examines composition and technical characteristics of domestic ECB used for electric power supply systems. The Chapter describes functionality, performance and features of application of ECB for Earth remote sensing satellites and payload, which is a rapidly developing field in Russian space industry.

A whole section is focused on aspects of ensuring tolerance of on-board REE to ionizing radiation, since exposure to space ionizing radiation is one of the major factors causing reduction of SC useful life and on-board REE failures and faults (about 30% of functional failures are caused by radiation). In particular, the Section considers aspects of formulating requirements and determining local radiation environment on board SC, features of estimating radiation tolerance of on-board REE, as well as recommendations on improving efficiency of radiation protection against heavy charged particles, the main regulatory methods of estimating radiation tolerance of on-board REE. Since not only microcircuits, but also discrete semiconductor devices are widely used for construction of REE SA, a range of power semiconductor devices of space application (powerful field transistors, diodes, IGBT modules, etc.) are examined at the end of the Chapter.

Chapter 5 considers standard Process Design Kits (PDK) and features of their application for designing microcircuits with submicron design rules. The structure of a standard PDK is examined, as well as development of a new kit (in case it is required). Designing submicron ICs requires deep analysis of manufacturing process, which is important for computer modeling of the designed IC. The Chapter describes rules of PDK standardization, flow and features of designing mixed analog/digital microcircuits, information model of their designing, as well as ways to determine basic PDK components and list of elements requiring standardization. The main section of the Chapter describes features of digital design kits for custom ICs with submicron and deep submicron design rules that are of high practical importance. The material is accompanied with analysis of specific working examples (models of current sources, level shift scheme, power control, I/O standard cell library, etc.). The end of the Chapter describes open-access Educational Design Kit by SYNOPSYS and contents of educational design kits provided by IMEC.

Space Microelectronics Volume 2: Integrated Circuit Design for Space Applications

Chapter 1 is focused on peculiarities of selection and application of foreign-made ECB for construction of domestic spacecrafts. General aspects and approaches to selection of such ECB are considered. US and EU regulatory documents limiting export of such components to Russia are analyzed, as well as ways and mechanisms to solve associated problems. Since procurement of foreign space-grade ECB is rather challenging, foreign industry-grade ECB (for industrial application) is widely used for development of REE SA. Features of application of such products in rocket and space technology are examined in detail, a range of necessary procedures is indicated that an REE developer shall follow in order to introduce the products in electronic units of designed REE SA. A separate section is focused on problematic aspects of choosing foreign-made ECB for REE used in strategically valuable domestic objects.

Counterfeit products pose one of the major problems when selecting imported microelectronic products for REE SA. Notably, not only Russian developers face such problem, in fact it has a global scale: there’s been a number of cases when electronic systems of US and NATO submarines and combat aircrafts, as well as space equipment of NASA and the European Space Agency had counterfeit (fake, cloned) microcircuits of low quality produced in China (such products are mass-manufactured in China). In recent years a growing number of counterfeit products has been detected by Russian specialists too and in number of cases such products were proved to be an unambiguous cause of RST failures.

The following classification of counterfeit products is presented: pirate version (clone), the component doesn’t comply with manufacturing standards of the original product; the component is not produced by a certified manufacturer; the component is defective or second-hand, but comes under the guise of a new component; the component has invalid or counterfeit markings or documentation. Thus, the counterfeit products may belong to one of the following more specific groups: reused (second-hand), remarked, defective (faulty), illegally (e.g., in excess of the contract volume) produced, cloned, illegally modified (reconditioned) products, as well as components with forged documents.

Effective methods of detection of each category are provided. One of these effective methods, i.e. special complex of electric and temperature testing is examined in detail and examples from experience are given. The Chapter considers peculiarities of selection and application of foreign-made processors and microcontrollers in domestic spacecrafts for construction of high performance and reliable on-board digital computer complexes (ODCC), both for payload and spacecraft platform. There’s a detailed analysis of processor Leon 3FT (microprocessors UT699 and GR712) that was developed by Aeroflex and has been widely used in US and EU space industry since 2009. Its application options, qualification characteristics, architecture and hardware options, features of programming are analyzed.

A separate section is focused on foreign radiation-tolerant DC converters for space and military applications, the import of which to Russia has increased by an order of magnitude in recent 5 years. As it is known, power supply system of satellite equipment shall be adapted to a high clock frequency and rapid load change on the power bus. It is shown that such DC/DC converters and stabilizers shall have transient response at the level of at least 10 A/ µs to load change in the full range and the maximum deviation of the converter output voltage shall be within ± 5%, otherwise any excess of the maximum allowable voltage of processor or PLD at such load changes can lead to instantaneous or latent failures of on-board REE microcircuits. The Chapter also presents summary of international experience in organization of manufacturing electronic components for spacecraft on-board equipment, since a feature of ECB SA is that its development differs from the roadmap of general purpose electronics, which is oriented towards mass production, short life cycle and fast replacement of product types. Features of organizing development of ECB SA in USA (Department of Defense, Department of Energy and NASA are involved in this process), Europe, Japan and China are presented.

Chapter 2 is focused on peculiarities of the technological process of production and basic constructions of modern transistors and Schottky diodes used for designing and manufacturing microcircuits and semiconductor devices of space microelectronics. This Chapter gives an insight in modern trends and prospects of modern microelectronics that develops in the field of the so-called deep submicron. A growing importance has the problem of scaling geometric dimensions of integrated circuits, both reduction of linear (lateral) and vertical (parameters of basic transistor active structure) sizes has spawned new, previously unknown mechanisms of failures of this microcircuit generation.

Thus, the Chapter considers peculiarities of production technology and obtained designs of submicron MOS-transistors. There’s a general analysis of these designs, description of methods used most widely by LSIC designers to improve operation characteristics of MOS-transistors for design rules of 90, 65, 45 nm and less; peculiarities, advantages and main disadvantages of MOS-transistors with silicon-on-insulator (SOI) structure are considered separately, as well as peculiarities of transistors with double, triple and the so-called cylindrical gate and other types of transistor structures used in LSIC VC. A separate section considers peculiarities of the use of special transistor designs for analog applicationsas part of LSIC SA.

Also a separate section is focused on design and technology features of manufacturing high temperature Schottky diodes and their application as part of microelectronic devices: more than 50 versions of their design are presented together with corresponding remarks depending on the tasks they solve as part of certain microcircuits and discrete semiconductor devices for space application.

Chapter 3 considers the main solutions to one of the most challenging issues of space microelectronics, i.e. reduction of power consumed by microelectronic devices of space application.This is an important issue due to an obvious fact that functionality and performance of on-board REE is constantly increasing, while the law of conservation of energy can’t be discarded. Another kilogram (ton) of payload requires from designers of rocket and space technology to reduce “redundant” functions, while minimization of power consumed by electronic units requires various methods and techniques based on both microelectronic technology of ECB production and a whole range of unique circuit design methods. The fifth Chapter is focused on description of these methods.

The beginning of the Chapter considers the main mechanisms of power dissipation in CMOS-microcircuits most widely applied in SCs, as well as physical, circuit design, technology and system (architecture) constraints that shall be taken into account by designers and consumers of microcircuits for space application. Special attention is paid to interface microcircuits that ensure functioning of various blocks, units and elements of on-board system as a whole, organizing interaction of various SC on-board systems and fast data exchange between such “internal” systems, as well as with “external” devices. Efficient operation of cutting-edge SC on-board computer and control systems can’t be ensured without the so-called “advanced interface”. The main elements of the interface include special microcircuits used to construct interface channels that feature a number of types, functional capabilities, circuit and design and technology solutions, system of electrical parameters and peculiarities of application. They are united by the problem of minimizing power consumed during operation as part of on-board REE SA systems, as well as by operation conditions (high level of external noise, high values of switching (commutation) and load current, extreme electric loading, exposure to radiation and mechanical stress). Peculiarities of designing and application of interface microcircuits of previous generation were examined in detail in the work of A.I.Belous and O.Ye. BlinkovBipolar microcircuits for interfaces of automatic control systems (Leningrad, Mashinostroyeniye, 1990, 272 p.), the references to which can be found in this Chapter.

However, the new generation of interface microcircuits face new problems and consequently require new solutions; therefore, the reader’s attention is focused on peculiarities of organizing low power consumption modes in modern interface microcircuits mainly with serial data transmission, rather than parallel one. The Chapter considers microcircuits of low power transmitter/receiver of RS-232 and RS-485 type that are most often used by developers of on-board REE, presents features of their structure and circuit design, provides an extended example of designing a circuit of transmitter electric unit, temperature independent reference voltage source, etc. The main circuit design peculiarities of designing low power IC VC are examined in a separate section. Considering wide application of memory elements (embedded memory) in interface (and other) microcircuits, the last section of this Chapter is focused on detailed analysis of circuit design features of arrangement and application of most often used basic memory elements (cells), i.e. various kinds of D-type flip-flops. Considering increased level of different kinds of noise generated on board a spacecraft (orbital station, navigation satellite, interplanetary spacecraft), this Chapter also includes a special section focused on detailedanalysis of noise sources and circuit design methods of noise cancellation both at early stages of designing custom microcircuits and their application as part of on-board REE SA.

Chapter 4 focuses on theoretical and experimental analysis of exposure of submicron integrated circuits and semiconductor devices to ionizing space radiation. While physical mechanism of radiation effect on “pre-submicron” microcircuits has been studied rather well and presented in many domestic and foreign publications, certain information vacuum formed around submicron design rules: different sources published directly opposite information, some of them even stating that submicron microcircuits shall not be used in space equipment due to their assumed low tolerance to ionizing radiation of outer space. This can be largely explained by stiff competition on the LSIC SA market as well as by purelyeconomic factors: development of submicron technology at 90 nm level requires not hundreds of thousands, but hundreds of millions of dollars and several billion dollars for 45 nm level. Unfortunately, certain decisions were made on the basis of such misleading information by leaders of domestic rocket and technology field that are decision makers in the sphere of ECB application in space instrument-making. Therefore, this Chapter thoroughly examines specific features and physical mechanisms of exposure of submicron LSICs (CMOS, bipolar, digital, analog ones, memory circuits) to radiation, as well as main methods of ensuring their radiation tolerance including recommendations on composition of testing devices, elements for experimental research on effect of radiation on properties of silicon microcircuits, recommendations on applied equipment and methods of sample irradiation and methods of measuring after radiation treatment.

An advantage of this Chapter’s material is that instead of assumptions on “tolerance” or “intolerance” to radiation of submicron LSIC basic designs, its ten sections provide actual results of experimental research (mainly conducted by domestic specialists, as well as by authors, and by prominent foreign researchers) on effect of different kinds of radiation on properties of various microelectronic devices. Thus, the Chapter provides summarized results of experimental research on effects of gamma-radiation on properties of submicron MOS-transistors, MOS-capacitors, memory cells on MOS-transistors, logic MOS IC, CMOS RAM, ROM, BiCMOS LSIC, MOS/SOI structures and LSIC RAM on their basis. Considering objective technical and financial problems faced by REE SA developers connected with inability to conduct full-scale tests (Semipalatinsk Test Site used to solve such problem at Soviet times), the last section of this Chapter describes peculiarities of application and physical justification of using proper simulation methods for researching radiation effects, as well as methods of predicting radiation tolerance of CMOS and BiCMOS microcircuits.

The Chapter also presents specific methods (tested in domestic and foreign practice) to enhance tolerance of different classes of LSIC to impact of penetrating radiation (gamma-, alpha-, beta-radiation, heavy charged particles, protons, neutrons and other kinds of ionizing radiation). There’s a detailed description of radiation treatment, methods of measuring electric parameters of tested structures and typical samples of the main LSIC classes, composition and features of equipment, tools and software used for these processes.

Chapter 5 is fully focused on description of tested calculation and experimental methods of predicting and calculating levels of radiation tolerance of bipolar (digital, logic and analog), as well as standard CMOS integrated circuits. It also considers the main design and technology as well as circuit design methods of enhancing radiation tolerance of CMOS and BiCMOS microcircuits.

Chapter 6 also contains fundamental material focused on analyzing general aspects of designing submicron LSIC SA and based on them IC electronic units. The Chapter consists of six sections and 33 subsections; and regardless of their size each section is equally important for designing submicron LSIC SA. The use of submicron technology (design rules of 90 nm and less) poses new challenges in designing both digital and analog CMOS LSICs. Some of these challenges have never arisen before, while others have, but now they are taking significance. When transitioning from 90 nm to 65 nm and especially to 45 nm, the designer faces new problems caused by a growing influence of electrical and physical effects connected with high density of interconnections and high density packing of transistors (dynamic voltage loss at resistance, antenna effects and effects of cross-coupling, growing influence of leakage currents, electric migration and many other). The Chapter considers modern trends of scaling, as well associated problems and solutions to them.

One of the main problems is growing static and dynamic power consumed by the chip, which is caused by leakage currents. Recommendations are provided on how to control optimal distribution of dissipated power across the chip surface at the stage of designing. There’s a detailed analysis of all main types of currents that are present in active and passive structures of the transistor (subthreshold leakage current, gate tunnel current, turn-on current, switching current, etc.), causes of their emergence, equations for calculation of their values. Special attention is paid to the aspects of calculation and controlling the value of dynamic power in the structure of standard submicron silicon MOS-transistor (the use of circuit design of units with set value of switch time delay, proper account of signal distribution delay at interconnections and transition resistance of interlevel contacts, ways of reducing power consumption during switching, methods of library optimization, etc.).

Final sections of the Chapter consider two problems that are of importance to developers: the impact of temperature on characteristics of MOS-transistors manufactured using deep submicron technology (temperature dependences of subthreshold leakage currents, gate tunnel current, input and output current, junction currents), as well as influence of technological spread (fluctuations) on the main electric parameters of submicron MOS-transistors. Deviation of characteristics of a device associated with the technology has always been a serious problem for circuit designers and process engineers. In technical slang this phenomenon is known as Yield Killer.

To the surprise of researchers, Yield Killer in submicron technology actually “kills” the main performance characteristics of MOS-transistors; the smaller the design rules are, the more difficult it is to cope with this effect. Fluctuations (random spread) of process parameters depending on their nature can be roughly divided into two big groups – global and local ones. Global fluctuations significantly influence all identical components (wafers in a reactor are subject to non-uniform heating depending on their location), and local fluctuations influence not only an individual wafer, but also an individual chip (non-uniform heating of a wafer in the center or at the periphery at high-temperature oxidation, etc.).

Therefore, special attention is paid to influence of such technological fluctuations on leakage currents. In particular, it is shown that subthreshold currentIsub (the spread of which is additionally determined by fluctuation of doping dose, gate dielectric thickness, channel length) is exponentially dependent on the major properties of the transistor, i.e. threshold voltage and gate tunnel current and this dependence has a clear non-linear character even in case of an insignificant increase of process parameters spread.

The end of the Chapter formulates specific recommendations on reduction of leakage currents in MOS-transistors manufactured using submicron technology, lists the main constraints associated with decreased linear dimensions, provides specific recommendations on methods to minimize leakage currents during microcircuit designing both at system (architecture), logic and circuit level of project implementation.

Chapter 7 is fully focused on specific aspects of designing microcircuits for space application based on SOS and SOI structures that are one of the fastest developing fields of radiation tolerant ICs. This Chapter may qualify for fundamental analysis of the development of this microelectronic technology field, since it contains a solid theoretical part (analysis of damage process in silicon (and polysilicon) caused by irradiation with gamma-quantum impulses, description of radiation effects, their complexes and clusters, physical mechanisms determining impact of radiation exposure on conductivity of dielectric layers, properties of Si/SiO2 interface and other). There’s a comparison of radiation properties of SOI ICs manufactured in different processes, analysis of ways to increase stability and reliability of the structures with different dielectric layers.

Authors believe that an undeniable advantage of this Chapter is a detailed description of physical phenomena and processes occurring in SOI LSIC under exposure to various kinds of ionizing radiation (single-event upsets, single-effect latchup, single-effect burnout, single-event gate rupture, single-event snapback of the so-called single-transistor latchup), as well as impulse irradiation effects and full dose effects. All the conclusions and recommendations provided in the Chapter are substantiated with the results of experimental research on samples; composition of test elements is presented, as well as experimental results for all the basic elements of LSIC (transistors of various configurations, resistors, diodes, capacitors).

The Chapter concludes with description of the most widely applied design and technological, as well as circuit design methods to increase tolerance of SOI ICs includingcharge stabilization in buried dielectric of SOI structures (by means of implantation of hydrogen ions and fluorine), as well as peculiarities of formation in SOI structures of MOS-transistors with ring gates, short-channel SOI MOS-transistors and self-aligned SOI MOS-transistors with ring gate. A separate section is focused on summary analysis of design and technological methods of increasing tolerance of SOI structures to exposure factors of outer space that are proposed in US open-access patents. Another section considers aspects of increasing tolerance of SOS and SOI LSIC RAM to hazardous pulsed ionizing radiation (analysis of radiation defects causing failures of basic elements and microcircuits, description of methods and hardware implementation of radiation experiment, ionizing effects in semiconductor layers of SOS and SOI structures, analysis of ionizing reaction of SOS MOS-transistor and pulsed ionizing radiation, local ionizing effects in dielectric areas of CMOS SOS MLSIC and other).

Chapter 8 focuses on peculiarities of designing system-on-chip (SOC) and system-in-package (SIP) used for on-board REE SA, weapon systems, and military equipment. As defined, SIP is a combination of several different chips of digital logic, memory, interface components, passive components, filters and antennas in a standard (or specially designed) ceramic and metal or other special package. The Chapter considers specific features of designing SIP and SOC, compares their main parameters and design processes. Thus, it is shown that the cost of implementing a standard SOC project is by 7-10 times higher than that of SIP; it takes from 6 to 9 months to implement SIP, whereas development of SOC takes from 18 to 36 months. SIP can be developed by a standard team of designers, while SOC requires a bigger number of trained highly qualified engineers; furthermore, it takes 1-2 months and 12-20 months to debug a SIP and SOC prototypes respectively.

Peculiarities of designing RF modules in SIP are considered separately. Thus, parameterized cells (P-cells) for passive RF devices are a standard component supplied to CAD market, but they usually do not take into account characteristics of a specific package type chosen by the designer. At the stage of drafting the developer has to find a number of out-of-the-box solutions (e.g. decide whether to locate required inductance on a chip, where it takes up valuable space, or on the substrate, board, package element).

A separate section considers some other features of deep submicron technology that shall be taken into account during designing specialized microcircuits for SOC and SIP. In particular, this concerns the use of CAD to take into account the impact of destabilizing factors on speed of digital microcircuits. Thus, the impact of technological fluctuations and impulse noise on output parameters of digital microcircuits can be disregarded in projects with design rules higher than 0.25 μm and up to million gates. However, deviations of actual values from calculated ones are significant for 0.18 μm designs. Maximum values of impulse noise in power circuits reach tens of percent and the greatest amplitude is shown by components with frequencies in the range 30-300 MHz (these are the frequencies that are most often used for internal chip synchronization).

The Chapter shows that it is sufficient to consider only the capacity of interconnection conductors when calculating dynamic parameters for 0.25 μm design rules, whereas it is necessary to consider ohmic resistance of communication lines for 0.18 μm design rules and inductance, parasitic resistors for 90 nm design rules, which significantly increases the time needed for calculations.

There’s a detailed analysis of peculiarities of designing layout of chips used for SOC and SIP, as well as recommendations on minimizing various parasitic effects. For example, in order to eliminate the antenna effect (static charge is accumulated on conductors during plasma etching and polishing, which can lead to a breakdown of MOS-transistor), it is recommended to limit the maximum area of internal metallization of connecting bus. To balance current density in conductors and reduce thermomechanical damage after chemical and mechanical polishing, it is recommended to use “fictitious” elements: fictitious conductors in wide dielectric gaps and fictitious dielectric gaps close to “wide” conductors.

Chapter 9 considers the main methods of rejection of silicon microcircuits with hidden defects during mass production. The proposed methods allow developers of electronic devices to enhance reliability, in case foreign-made ECB of space- or industrial grade can’t be procured, to construct prototypes using domestic microcircuits of dual or special purpose that have undergone additional testing in accordance with considered methods. This concerns application of special statistical methods (sensitivity factors of output parameters, operating ranges), model of mathematical processing of forced test results, detecting potentially unreliable microcircuits by using forced electrostatic discharge, special modes of burn-in procedure, special rejection criteria according to dynamic stress, etc.

Space Microelectronics Volume 3: High Velocity Microparticles

Chapter 1 focuses on obtaining required special materials for protecting microcircuits and on-board equipment units against effects of high-velocity streams of microparticles and unauthorized electromagnetic radiation. It thoroughly examines physical mechanisms and peculiarities of interaction of such microparticle streams with physical obstacle (SC body, microcircuit package), their influence on changes in structure and properties of exposed materials. In particular, the influence of multilayer material interfaces on microparticle penetrating capacity is examined, as well as features of obtaining special multilayer materials and packages designed for protecting microcircuit chips against penetrating particles and electromagnetic radiation. The remaining sections of this Chapter analyze known radar-absorbing materials, including multilayer materials used for protection against electromagnetic radiation, as well as physical mechanisms of multilayer material absorbing and reflecting electromagnetic radiation.

Chapter 2 describes specific methods and types of equipment used in experimental research on interaction of high-velocity streams of microparticles with various materials. The first section justifies selection of SiC and Al2O3 with the size of 50-100 μm as the main materials for research, as well as selection of microcircuits in metal and ceramic and plastic packages that are used in on-board equipment and require protection as objects of research (they served both as test objects and detectors). There’s a description of methods of selecting matrix material and fillers that take the main load in composite materials. Complex test methods and test equipment are thoroughly examined. In particular, special explosive accelerators based on energyaccumulation of explosives (bulk ammonite of 6ЖВ series) was used to accelerate particles up to 1-3 km/s. The Hall effect was used for quantitative estimation of magnetodynamic processes during development of methods of measuring electromagnetic radiation.

X-ray medical film with a sensitivity of 850 P-1 and density of 0.85 above fog was used as the main material for measuring and registering ionizing radiation occurring at high velocity collision of cosmic dust particles with SC protection elements. The Chapter presents method of studying structure and properties of materials after exposure to high-velocity stream of microparticles that is based on standard set of equipment for metallographic analysis, Scanning Electron Microscopy, Electron microprobe analysis and equipment for transmission electron microscopy. The Chapter thoroughly examines the results of X-ray diffraction analysis of deformation processes, describes detailed methods and features of measuring the main physical parameters of tested microcircuits and above mentioned composite materials.

Chapter 3 analyzes quality and quantitative changes in the structure and properties of single- and multilayer materials under influence of the high-velocity stream of microparticles. Analysis results of changes in structure of single-layer materials (metals, polymer materials) are presented. Firstly, changes in current and voltage characteristics of batch-manufactured standard (“unprotected”) integrated circuits under the influence of a high-velocity stream of micro-particles are examined and then corresponding changes in current-voltage characteristics of microcircuits in packages made of multilayer “protective” material are analyzed. Since such materials as aluminum, titan and their alloys are widely used in SC designs, the Chapter provides results of research on impact of SiC particles on microstructure of aluminum samples.

Chapter 4 presents experimental results of research on impact of high-velocity streams of microparticles on mechanical and physical properties of protection materials, the detailed methods of conducting such experiments being provided in the previous Chapter. The results of experimental research are presented in tables, graphics, photographs accompanied by descriptions and commentaries. Such presentation of material is required due to the fact that unfortunately the above described impact of microsize particles of cosmic dust on materials and equipment often provokes unusual reaction of specialists and is sometimes questioned. By using methods and equipmentindicated in the previous Chapter, researchers can reproduce the experiments and ascertain existence of such effect, so as to aim their future work at searching ways of protecting against this effect. For example, research of electromagnetic radiation registered radiation spectra within the range from 100 mV to 1.5 V with a pulse length of (5-12) ∙ 10-6 s at a distance of 15 cm from the explosion epicenter.At the moment of explosion, the products of detonated explosives formed a mixture of gaseous and condensed substances at a pressure of 20-40 hPa and a temperature of 3,000-5,000 K. Such conditions are typical for low-temperature non-ideal multi-phase and multi-component plasma with a low concentration of charged particles, which also participate in the formation process of current that is destructive to physical obstacle.

The Chapter provides photographs of certain defects and tracks that are observed on the surface of tested silicon wafers and chips at the particle stream velocity within 1.2-1.5 km/s. A separate section focuses on theoretical and practical peculiarities of modeling processes of particle stream formation, its interaction with SC bodies and individual microcircuits. It is shown that the main damage to microcircuits includes mechanical damages in the form of ruptures and shears were seen in the points of wire connections, strips and pads; it is the first time that some new defects have been registered: in damage areas there are places of substantial local heating, which results in emergence and growth of new crystal formations from semiconductor material of the damaged crystal (photographs are provided).

For a long time the researchers weren’t able to determine the energy of generation of the electromagnetic field and the streams of high-energy ions required for the emission of jets of dense plasma from the obstacle volume, since the length of the process does not exceed 10-3 s and an additional energy source does not arise according to the theory of a normal classical impact. Therefore, the last section of the Chapter thoroughly examines features of electromagnetic field generation, the essence of which can be described as follows: at the first stage of the process the electromagnetic field is formed during the movement of particles inside the solid body, when there is a classical mechanism of friction with a mass loss and occurrence of electrically charged particles in the material. The movement of millions of these charged particles initiates appropriate electromagnetic fields. The pulsation inside such high-pressure “solitons” results in equivalent physical effect of pulsation of dense plasma inside the obstacle, and shock-wave processes move sharing electrons in metals.

Chapter 5 thoroughly considers peculiarities and process flow for production of multilayer materials and packages of microcircuits for space application that are tolerant to the effect of super-deep penetration of high-velocity microparticles. The Chapter formulates the main requirements for such materials, describes stages of manufacturing process of producing multilayer materials for IC packages. It describes the influence of filler particle size on material properties, formation of macro- and microstructure of multilayer materials, presents data on mechanical and physical properties of materials and shielding properties of multilayer packages.

What problems can your book help technical professionals solve?

This book will help the readers to resolve the following basic tasks.

  1. To study and comprehend for the first time in the world generalized and systematized by the book’s authors the statistic data about the causes of break-downs and failures of the rocket-carriers and the space vehicles, obtained by the authors both from the open press and as a result of the personal numerous meetings and negotiations with the engineers and managers at the enterprises of the space branch in Russia, Europe, China, India, so as to undertake all possible measures on their exclusion (or bringing down their probability) when designing the devices of the space rocket hardware.
  2. To study the basic physical influence mechanisms on the radio-electronic hardware, structures and structural elements of the space vehicles, on the integrated circuits and semiconductor devices, destabilizing factors of the open outer space (various kinds of radiation, high energy galactic ions and particles of space dust) and on this basis to conscientiously apply in the practical activities the numerous laid out in the book techniques, technologies, materials, methods and technical solutions on ensuring protection from these negative factors of the radio-electronic devices of the civil, special and space applications.
  3. For the students, specializing in the sphere of designing the control systems, this book will help to study the structure and peculiarities of the most optimum construction of the on-board electronic systems for information processing, to study and use in the day-to-day activities such methods of designing these systems and devices, which will make it possible to reduce the risk of failures and break-downs of the electronic devices on board of a space vehicle.
  4. For the students and design engineers of the «space» integrated circuits it will be useful to study the chapters, dedicated to the peculiarities of designing this complex «space» class of the microelectronic devices – integrated circuits of memory, microprocessors, interface and logic integrated circuits, power management integrated circuits, etc.
  5. For the managers and directors of enterprises of the space and semiconductor branches, for the officials, responsible for formulation and realization of the programs and projects of creation of the new space hardware, and, of course, for engineers – how to evade the problems, related to usage of the infringing integrated circuits, to understand the necessity and peculiarities of application in the space vehicles of the microelectronic devices of the type «system in package» and «system on chip».

Click here for more information or to order.

 

Leave a Reply

Your email address will not be published. Required fields are marked *